HardwareTeams.com - The #1 job board and blog for electrical and computer engineers


Cocotb Tutorials #

An intro on how to use cocotb with a slight emphasis on HDL verification for signal processing algorithms. Cocotb is an open source coroutine-based cosimulation testbench environment for verifying VHDL and SystemVerilog RTL using Python!

What can you do with cocotb? #

Cocotb is a tool for writing testbenches to verify HDL. The learning curve is less steep than UVM or SystemVerilog - you can get up and verifying in minutes.

image

List of Articles #

  1. Installation

  2. Getting Started with Cocotb for Absolute Idiots

  3. FIR Filter Design and Verification with Python and Cocotb

  4. Cocotb Datatypes

HardwareTeams.com Copyright © 2024